RISC-V MCU中文社区

在Windows环境下用Vivado调试E203

发表于 全国大学生集成电路创新创业大赛 2021-03-15 20:40:07
0
4497
10

第一步 选择RTL文件

创建Vivado工程后,将e203_hbirdv2-master\rtl\e203下的所有Verilog文件加入工程;

此外还有fpga\mcu200t\src目录下的system.v文件,这是我们的顶层设计文件。

第二步 手动添加IP核

根据e203_hbirdv2-master\fpga\mcu200t\script目录下的ip.tcl的描述,有两个IP核需要添加

  1. 1. clocking wizard





2. processor system reset



第三步 添加约束

开源约束文件在e203_hbirdv2-master\fpga\mcu200t\constrs目录下

然后就可以愉快地在Windows上综合了。

其他细节见于https://lgyserver.top/index.php/2019/12/11/windows-%E7%8E%AF%E5%A2%83%E4%B8%8B%E8%B0%83%E8%AF%95%E8%9C%82%E9%B8%9Fhbird-e203%E5%86%85%E6%A0%B8/




喜欢10
用户评论
leon

leon 实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板